จะใช้ Verilog HDL บน Ubuntu ได้อย่างไร


8

ฉันพยายามเริ่มหลักสูตรเล็ก ๆ ด้วยตัวอย่างในVerilog Hdl และหลังจากใช้เวลามากในการค้นหาSimulatorหรือIDE ที่ดีที่สุดเพื่อฝึกฝนด้วยตัวอย่างฉันไม่สามารถคิดวิธีใช้บน Ubuntu 12.04

ดังนั้นฉันมีสองคำถาม:

  1. ฉันจะใช้ Verilog บน Ubuntu 12.04 ได้อย่างไร

  2. Simulator (หรือ IDE) ที่ดีที่สุดสำหรับ Linux คืออะไร

คำตอบ:


3

คุณสามารถดาวน์โหลดตัวจำลอง Linux Verilogของ SynpatiCAD ซึ่งเข้ากันได้กับ Ubuntu มันมีการจำลองบรรทัดคำสั่งและ IDE กราฟิก หลังจากติดตั้งแล้วคุณสามารถเรียกใช้เครื่องมือและขอใบอนุญาตฟรี 6 เดือนสำหรับเครื่องมือจำลอง


5

โพสต์นี้ช่วยได้บ้าง: Verilog และ VHDL บน Linux (Ubuntu) ?

แนะนำให้ใช้งานร่วมกันของIcarus Verilog ( iverilogในที่เก็บข้อมูล) สำหรับการจำลอง Verilog, GHDLสำหรับการจำลอง VHDL และGTKWave ( gtkwaveในที่เก็บ) สำหรับการดูรูปคลื่น

ถ้าคุณต้องการคราสตามบรรณาธิการ Verilog ลองveditor


แต่ Veditor ไม่ได้เปิดฉันเปิดด้วย JDK6 และไม่มีการตอบสนองหรือมีวิธีอื่นที่จะเปิดมัน
amrro

ไม่ใช่ผลิตภัณฑ์แบบสแตนด์อโลน แต่เป็นปลั๊กอินของ eclipse ติดตั้ง eclipse จากนั้นทำตามคำแนะนำที่นี่: sourceforge.net/apps/mediawiki/veditor/ …
Tom Regner

ตกลงฉันต้องการความช่วยเหลืออื่นคุณสามารถอัปเดตคำตอบของคุณโดยวิธีการติดตั้ง icarus verilog ,, ican't ติดตั้ง
amrro

ghdl ให้ข้อผิดพลาดนั้นแก่ฉัน ... แพ็คเกจ ghdl ไม่สามารถใช้งานได้ แต่ถูกเรียกโดยแพ็คเกจอื่น นี่อาจหมายความว่าแพ็คเกจขาดหายไปล้าสมัยหรือมีให้จากแหล่งอื่นเท่านั้น E: แพ็คเกจ 'ghdl' ไม่มีการติดตั้ง
amrro

อ่า - พบmail.gna.org/public/ghdl-discuss/2012-04/msg00017.html ; เนื่องจากการสร้างปัญหา ghdl ถูกปล่อยจาก debian ATM และยังมีใน Ubuntu ที่ 12.04; คุณอาจต้องการลองรวบรวมด้วยตัวคุณเอง (และติดตั้งผ่านทาง checkinstall) - แต่การพูดคุย / เขียนคุณผ่านสิ่งที่เกินเวลาที่ฉันอนุญาต
Tom Regner

1

คุณยังสามารถใช้สภาพแวดล้อม IDE สำหรับการพัฒนา FPGA Altera Quartus สำหรับ Altera (Intel) FPGA หรือ Xilinx ISE สำหรับอุปกรณ์ Xilinx สภาพแวดล้อมเหล่านี้อนุญาตให้คุณเขียนซอร์สโค้ด VHDL และ Verilog และรวมถึงตัวจำลอง


โดยส่วนตัวแล้วใช้ Quartus Prime ดีพอ IDE แม้ว่าคุณจะดาวน์โหลด verilog code ลงใน FPGA จริง ๆ คุณต้องแก้ไขกฎ udev เพื่อให้อุปกรณ์จดจำได้
Sergiy Kolodyazhnyy

1
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

เพื่อดูว่าทุกอย่างทำงานอย่างถูกต้องหรือไม่ลองทำ hello-world of verilog

nano hello.v

จากนั้นเขียนรหัสสวัสดีโลกต่อไปนี้

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

จากนั้นรวบรวม

iverilog hello.v -o hello

และสุดท้ายเรียกใช้รหัสของคุณ

vvp hello

โดยการใช้ไซต์ของเรา หมายความว่าคุณได้อ่านและทำความเข้าใจนโยบายคุกกี้และนโยบายความเป็นส่วนตัวของเราแล้ว
Licensed under cc by-sa 3.0 with attribution required.