คำถามติดแท็ก programmable-logic

7
มี FPGA อะนาล็อกหรือไม่
ตามที่ฉันเข้าใจแล้ว FPGA นั้นเป็นวงจร "ดิจิตอล" ที่ยืดหยุ่นซึ่งช่วยให้คุณออกแบบและสร้างและสร้างวงจรดิจิทัลขึ้นใหม่ มันอาจฟังดูไร้เดียงสาหรืองี่เง่า แต่ฉันสงสัยว่ามี FPGA หรือเทคโนโลยี "ยืดหยุ่น" อื่น ๆ ที่ทำให้ส่วนประกอบอะนาล็อกพร้อมใช้งานสำหรับนักออกแบบเช่นแอมป์หรือ A / D หรือ D / A หรือตัวรับส่งสัญญาณ

5
อะไรจะทำให้ฉันเลือก Verilog หรือ VHDL มากกว่าการออกแบบวงจรบน CPLD หรือ FPGA
ฉันไม่มีพื้นฐานด้านตรรกะที่ตั้งโปรแกรมได้ฉันใช้ไมโครคอนโทรลเลอร์ส่วนใหญ่ในโครงการของฉัน แต่ไม่นานมานี้ฉันต้องการทำงานกับวิดีโอและไมโครคอนโทรลเลอร์ช้าเกินไปสำหรับสิ่งที่ฉันต้องการดังนั้นฉันจึงเริ่มเล่นกับ CPLD ฉันสามารถรับผลลัพธ์ที่ดีกับ CPLD โดยใช้การออกแบบวงจรเท่านั้น แต่เมื่อค้นหาข้อมูลเกี่ยวกับ CPLD ฉันพบตัวอย่างมากมายโดยใช้ VHDL และ Verilog ฉันอยากรู้ว่าสิ่งใดที่ทำให้ฉันต้องการกำหนดอุปกรณ์ของฉันเป็นหนึ่งในภาษาเหล่านี้ พวกเขาทำอะไรได้บ้างที่การออกแบบวงจรไม่สามารถทำได้? พวกเขาส่วนใหญ่ใช้สำหรับฟังก์ชั่น? จนถึงตอนนี้ฉันใช้ CPLD เพียงอย่างเดียวการออกแบบ FPGAs มีประโยชน์มากกว่า CPLD จากการใช้ภาษาเหล่านี้หรือไม่

6
การออกแบบลอจิกแบบไม่ต่อเนื่อง
ฉันได้รับมอบหมายให้สร้างอุปกรณ์เตือนภัยที่เรียบง่าย เพียงแค่ต้องวัดอินพุตเพียงไม่กี่ตัวและเอาต์พุตจะตอบสนองตามนั้น (เพื่อให้ง่ายมาก!) สำหรับฉันแล้วดูเหมือนว่าการใช้ประตูแบบไม่ต่อเนื่องทางตรรกะจะทำให้งานเสร็จ แต่เพื่อนร่วมงาน (คนที่ทำงานกับฉัน) ตัดสินใจว่าเราควรใช้ตรรกะแบบโปรแกรมแทน กรณีของเขาชนะเพราะตอนแรกเขาอาวุโสกว่าฉันและประการที่สองข้อโต้แย้งหลักของเขาคืออุปกรณ์ที่ตั้งโปรแกรมได้เป็นอนาคตและเราต้องการสร้างผลิตภัณฑ์พิสูจน์ในอนาคต คำถามของฉันคือถ้าคุณมีการออกแบบที่สามารถนำไปปฏิบัติได้อย่างง่ายดายโดยประตูตรรกะไม่ต่อเนื่องไม่กี่มันคุ้มค่ากับการออกแบบด้วยตรรกะไม่ต่อเนื่องอีกหรือไม่ มีข้อได้เปรียบในการใช้งานมากกว่าที่ตั้งโปรแกรมได้หรือไม่? หรือว่าจะค่อยๆเลิกใช้ตรรกะที่ตั้งโปรแกรมได้อย่างสมบูรณ์? เพื่อความชัดเจนฉันไม่ต้องการคำตอบของ 'ฉันเชื่อว่านี่เป็นกรณี' หรือ 'โดยส่วนตัวฉันคิดว่านี่ แต่ ... ' ฉันอยากจะรู้ว่ามีข้อได้เปรียบที่แท้จริงในการออกแบบที่แยกจากกันโดยตั้งโปรแกรมได้หรือไม่ มูลค่าการออกแบบกับพวกเขาในวันนี้ในผลิตภัณฑ์เครื่องใช้ไฟฟ้า?

2
CPLD และ FPGA แตกต่างกันอย่างไร [ปิด]
ปิด. คำถามนี้เป็นคำถามปิดหัวข้อ ไม่ยอมรับคำตอบในขณะนี้ ต้องการปรับปรุงคำถามนี้หรือไม่ อัปเดตคำถามเพื่อให้เป็นหัวข้อสำหรับการแลกเปลี่ยนกองวิศวกรรมไฟฟ้า ปิดให้บริการใน4 ปีที่แล้ว CPLD และ FPGA แตกต่างกันอย่างไร

3
จะเกิดอะไรขึ้นเมื่อเปิดใช้งาน FPGA และไม่ได้กำหนดค่าไว้
ฉันกำลังพยายามทำความเข้าใจโดยทั่วไปเกี่ยวกับสิ่งที่เกิดขึ้นถ้าคุณปล่อย FPGA ที่ไม่มีโปรแกรมไว้เป็นเวลานาน สมมติว่าคุณมี FPGA และคุณไม่ได้ตั้งโปรแกรมไว้เป็นเวลานาน (หลังจากเปิดเครื่องหลายนาทีถึงหลายชั่วโมง) เช่นไม่มีบิตสตรีมมันไม่ดีสำหรับอุปกรณ์นี้หรือไม่ แนะนำให้มีบิตสตรีมบน FPGA ที่เปิดการทำงานอยู่ตลอดเวลาหรือไม่? ความคิดเห็นทั่วไปเกี่ยวกับเรื่องนี้คืออะไร? ผลลัพธ์ต่างกันในอุปกรณ์หรือผู้ผลิตที่แตกต่างกัน (Xilinx vs. Altera vs. ผู้อื่น) ข้อมูลเพิ่มเติม: ฉันมีบอร์ด SoC แบบกำหนดเองซึ่งใช้ Xilinx Virtex-6 FPGA ฉันยังมี Xilinx ML605 ซึ่งฉันใช้เพื่อการอ้างอิง คณะกรรมการที่กำหนดเอง: ฉันเปิดเครื่องบนกระดาน ฉันสังเกตเห็นว่าฉันใช้เวลาสั้น ๆ ในการเขียนโปรแกรมโดยใช้ XMD (Xilinx Microprocessor Debugger) ถ้าฉันสูญเสียหน้าต่าง 20-30 วินาทีฉันต้องปิดและเปิดบอร์ดก่อนที่จะลองอีกครั้ง สิ่งนี้ไม่ได้เกิดขึ้นกับ ML605 เมื่อฉันพยายามเขียนโปรแกรมกำหนดบอร์ดเองบน XMD ฉันจะได้รับ: Error: Device Reset by …

2
ตัวเลือกของฉันราคาไม่แพงสำหรับการเริ่มต้นใช้งาน CPLD [ปิด]
ปิด. คำถามนี้เป็นคำถามปิดหัวข้อ ไม่ยอมรับคำตอบในขณะนี้ ต้องการปรับปรุงคำถามนี้หรือไม่ อัปเดตคำถามเพื่อให้เป็นหัวข้อสำหรับการแลกเปลี่ยนกองวิศวกรรมไฟฟ้า ปิดให้บริการใน5 ปีที่ผ่านมา ฉันต้องการทำบางสิ่งบางอย่างกับ CPLD และฉันเห็นว่าฉันมีทางเลือกสองทาง ฉันไม่มีแอปพลิเคชันเฉพาะในใจ ดูเหมือนว่ามีความเป็นไปได้มากมายบางอย่างใช้งานง่ายกว่าสิ่งอื่น ผู้ผลิตหลักมีบอร์ดการพัฒนาบางอย่างแพงกว่าอื่น พวกเขายังมีสายโปรแกรมเมอร์ที่ดูเหมือนว่า ... ไม่ถูก ทำการขุดบางอย่างฉันเจอหลายตัวเลือกและฉันต้องการทำความเข้าใจข้อดี / ข้อเสียของแต่ละข้อ เป็นธรรมหรือไม่ที่จะบอกว่า CPLD กับ X Macrocells เหมือนกันมากหรือน้อย? แม้ว่าอินเตอร์เฟซ JTAG เป็นมาตรฐานสำหรับวัตถุประสงค์ของการเขียนโปรแกรมเป็นส่วนหนึ่งที่ไม่มีมาตรฐานก่อนหน้า JTAG คำถาม นี่เป็นเรื่องของซอฟท์แวร์ผู้ขายที่พูดคุยกับโปรแกรมเมอร์หรือไม่? สิ่งต่าง ๆ จะกลายเป็นมาตรฐานมากขึ้นถ้าฉันใช้ยูทิลิตี้อื่น ๆ เพื่อถ่ายโอนไฟล์ไบนารี? บางแพลตฟอร์มมีทางเลือกที่ถูกกว่า ฉันสงสัยว่าสิ่งที่จับอยู่กับสิ่งเหล่านี้ในแง่ของพอร์ต USB / ขนาน, Linux / Windows สมมติว่าฉันคุ้นเคยกับบอร์ดพัฒนาแล้วการเปลี่ยนไปใช้ชิ้นส่วนฐานโดยตรงนั้นยากแค่ไหน? ความประทับใจของฉันคือในตัวเลือกที่สำคัญมันเป็นเรื่องของหมุดหัวและไดโอด / ตัวต้านทานสองสามตัว ฉันอยู่ห่างไกลจากสิ่งนี้หรือไม่? ฉันจะตั้งโปรแกรมอุปกรณ์ในการออกแบบของตัวเองได้อย่างไร …

4
ตรรกะลอจิกที่ตั้งโปรแกรมได้ของความซับซ้อนที่แตกต่างกันใช้สำหรับอะไร?
ล็อคแล้ว คำถามและคำตอบของคำถามนี้ถูกล็อคเนื่องจากคำถามอยู่นอกหัวข้อ แต่มีความสำคัญทางประวัติศาสตร์ ขณะนี้ไม่ยอมรับคำตอบหรือการโต้ตอบใหม่ ตรรกะการตั้งโปรแกรมสามารถนำมาใช้ในวิดเจ็ตของคุณในสเปกตรัมที่แตกต่างกันตั้งแต่การเผาไหม้ประตูไม่กี่หรือใช้ MUX จนถึง FPGA ล่าสุดพร้อมไมโครคอนโทรลเลอร์ในตัวและอุปกรณ์ต่อพ่วง IO ในตัวไม่พูดถึงPrimeCell GPIOของ ARM หรือตัวอย่างอื่น ๆ ความซับซ้อนของอุปกรณ์ลอจิกที่ตั้งโปรแกรมได้มีการใช้งานในระดับใด แม้ว่าการจัดกลุ่มดูเหมือนจะกลมกลืนกันใกล้กับคำจำกัดความที่มากที่สุดของฉัน แต่ฉันคิดว่านี่เป็นรายการที่ยอมรับได้: PAL / PLA / GAL : อะเรย์ลอจิกที่ตั้งโปรแกรมได้; ดูเหมือนว่าจะปรากฏเป็น'Embedded - PLDs'ที่ Digikey ซึ่งครอบคลุม 10/8 I / O แบบอะซิงโครนัส (ATF16V8C) ถึง 50MHz, 192 macrocell, (CY7C341B) และส่วนใหญ่จะสามารถโปรแกรมใหม่ได้ CPLD : อุปกรณ์ลอจิกที่ตั้งโปรแกรมได้ที่ซับซ้อน; Digikey แสดงรายการดังกล่าวซึ่งมีอยู่ใน 7.5ns 10 I …

4
มีวิธีที่ไม่แพงในการเริ่มต้นใช้งานชิป GAL (เรย์ลอจิกเรย์ทั่วไป) หรือไม่?
ชิป GAL มีราคาแพงในการเริ่มต้นเนื่องจากโปรแกรมเมอร์มีค่าใช้จ่ายหลายร้อยดอลลาร์และแม้แต่สาย ISP ก็ไม่ถูก มีวิธีที่ถูกกว่าไหม?

1
ฉันควรใช้ตัวต้านทานระหว่างพินอินพุตของ MCU / CPLD และ VCC / GND หรือไม่
บางครั้งฉันต้องการ MCU หรือ CPLD ของฉันเพื่อป้อนตรรกะคงที่ ดังนั้นฉันเลือกที่จะผูกมันกับ VCC หรือ GND ปัญหาคือฉันควรใส่ตัวต้านทานเป็นอนุกรมเพื่อ จำกัด กระแสหรือไม่? ฉันแค่คิดด้วยตัวเองซักพักแล้วรับคำตอบของตัวเอง: ไม่! ยกตัวอย่างเช่นใช้ STM32F103 ( แผ่นข้อมูล ) ในหน้า 86: จาก 'กระแสรั่วไหลของอินพุต' ฉันรู้ว่าเมื่อใช้ VDD ความต้านทานอินพุตมีอย่างน้อย 1 M ohm ดังนั้นฉันจึงไม่จำเป็นต้องมีตัวต้านทานในซีรีย์เพื่อ จำกัด กระแส มันสมเหตุสมผลหรือไม่
โดยการใช้ไซต์ของเรา หมายความว่าคุณได้อ่านและทำความเข้าใจนโยบายคุกกี้และนโยบายความเป็นส่วนตัวของเราแล้ว
Licensed under cc by-sa 3.0 with attribution required.