คำถามติดแท็ก vhdl

VHDL (VHSIC (วงจรรวมความเร็วสูงมาก) คำอธิบายฮาร์ดแวร์ภาษา) เป็นภาษาคำอธิบายฮาร์ดแวร์ที่ใช้ในระบบอัตโนมัติการออกแบบอิเล็กทรอนิกส์เพื่ออธิบายและออกแบบระบบดิจิตอลเช่นอาร์เรย์ประตูที่ตั้งโปรแกรมได้และวงจรรวม

11
VHDL หรือ Verilog? [ปิด]
VHDLและVerilogเป็น HDLs ของวัน อะไรคือข้อดีของทั้งสำหรับคนที่ไม่มีประสบการณ์กับ HDL เลย?
94 vhdl  verilog  hdl 

2
การออกแบบ ASIC แตกต่างจากการสังเคราะห์ FPGA HDL อย่างไร
ฉันเคยมีประสบการณ์กับชุดเครื่องมือ FPGA / HDL เช่น Xilinx ISE, Lattice Diamond เป็นต้นขั้นตอนการทำงานทั่วไปคือการเขียน Verilog / VHDL การจำลองการทดสอบและการเขียนโปรแกรม FPGA ฉันได้ยินคนสองคนกล่าวว่าการออกแบบ ASIC นั้นแตกต่างกันมาก ชุดเครื่องมือใดที่ใช้สำหรับ ASIC สองประเภทหลักระดับ ASIC ระดับประตูและระดับทรานซิสเตอร์ ASIC ฉันได้ดูเครื่องมือการสังเคราะห์ระดับสูงเช่น Catapult C และ Cadence C ถึง Silicon แต่ฉันยังไม่เคยลองเลย คุณสามารถอธิบายเครื่องมือชนิดต่าง ๆ ที่มีในฟิลด์ ASIC / FPGA ที่สามารถเปลี่ยน / เร่งเวิร์กโฟลว์ HDL ทั่วไปได้เร็วขึ้นหรือไม่
42 fpga  vhdl  verilog  software  asic 

7
การปรับใช้ซีพียูที่อ่านได้และให้ความรู้ใน HDL
คุณสามารถแนะนำการใช้งาน CPU ใน VHDL หรือ Verilog ที่อ่านและศึกษาได้หรือไม่? ควรมีเอกสารที่ดี ป.ล. ฉันรู้ว่าฉันสามารถดูได้opencoresแต่ฉันสนใจเป็นพิเศษในสิ่งที่ผู้คนได้ดูและพบว่าน่าสนใจ PS2 ขออภัยเกี่ยวกับแท็ก sucky แต่ในฐานะผู้ใช้ใหม่ฉันไม่สามารถสร้างใหม่ได้


6
VHDL: การแปลงจากประเภท INTEGER เป็น STD_LOGIC_VECTOR
ฉันสร้างตัวนับ mod-16 และผลลัพธ์ผลลัพธ์คือ INTEGER (ตัวอย่างทั้งหมดที่ฉันเห็นเคยใช้ INTEGER) ฉันสร้างตัวถอดรหัสการแสดงผลแบบ hex-to-7-Segment และอินพุตของมันคือ STD_LOGIC_VECTOR (เขียนอย่างนั้นเพราะมันง่ายที่จะแมปตารางความจริง) ฉันต้องการเชื่อมต่อผลลัพธ์ของตัวนับกับอินพุตของตัวถอดรหัส แต่ฉันได้รับข้อผิดพลาด 'type mismatch' เมื่อพยายามคอมไพล์ใน QuartusII มีวิธีการแปลงจากประเภท INTEGER เป็นประเภท STD_LOGIC_VECTOR ในรายการ VHDL หรือไม่
28 vhdl 

17
ชุด FPGA dev ราคาไม่แพงที่สุดสำหรับการเรียนรู้ทฤษฎี VHDL และ FPGA
ฉันกำลังมองหาสิ่งที่ฉันสามารถเล่นด้วย แต่ไม่ใช้มากเกินไป ฉันไม่มีสิทธิ์ได้รับส่วนลดการศึกษาดังนั้นโปรดคำนึงถึงข้อเสนอแนะดังกล่าวด้วย
27 fpga  vhdl 

4
VHDL: Component vs Entity
ฉันสงสัยว่าอะไรคือความแตกต่างระหว่างส่วนประกอบของเอนทิตี ฉันต้องการทราบว่าในกรณีใดควรใช้ส่วนประกอบแทนเอนทิตี ขอบคุณมาก.
25 vhdl  components 

11
คำถามสัมภาษณ์ VHDL - ตรวจสอบว่าตัวเลขสามารถหารด้วย 5 ได้โดยไม่เหลือ
ฉันเห็นคำถามสัมภาษณ์ที่ดีสำหรับ VHDL - สร้างระบบที่ได้รับตัวเลขและตรวจพบว่าสามารถหารด้วย 5 ได้โดยไม่เหลือ ฉันพยายามที่จะแก้ปัญหาด้วยเครื่องสถานะ (ฉันคิดว่าพวกเขาไม่ต้องการให้คุณใช้modหรือrem ) และในขณะที่ฉันประสบความสำเร็จครั้งแรก (ตัวเลขเช่น 5, 10, 15, และตัวเลขเช่น 20, 40, 80 ใช้ได้ ) ตัวเลขอื่น ๆ เช่น 130, 75 และอื่น ๆ ล้มเหลวสำหรับฉัน ฉันจะแสดงเครื่องสถานะของฉัน แต่มันเป็นระเบียบสมบูรณ์ (ไม่ใช่รหัสมันเป็นรูปวาด) และเหมือนที่ฉันพูดไม่ทำงาน โดยพื้นฐานแล้วสิ่งที่ฉันพยายามจะทำคือเขียนเลขฐานสองที่หารด้วย 5 ได้และสร้างเครื่องสถานะที่เหมาะกับพวกเขา ฉันจะดีใจถ้าคุณสามารถแสดงให้ฉันเห็นวิธีการแก้ปัญหานี้และวิธีคิดเมื่อเผชิญหน้ากับสิ่งนี้ ขอขอบคุณ!

4
std_logic หรือ std_ulogic?
ดูเหมือนว่าโลกได้ตัดสินใจแล้วว่าstd_logic(และstd_logic_vector) เป็นวิธีเริ่มต้นในการเป็นตัวแทนบิตใน VHDL ทางเลือกจะเป็นstd_ulogicซึ่งไม่ได้รับการแก้ไข สิ่งนี้ทำให้ฉันประหลาดใจเพราะโดยปกติคุณไม่ได้อธิบายบัสดังนั้นคุณไม่ต้องการคนขับหลายคนและไม่จำเป็นต้องแก้ไขสัญญาณ ข้อได้เปรียบของstd_ulogicคอมไพเลอร์จะเตือนคุณก่อนถ้าคุณมีไดรเวอร์หลายตัว คำถาม: นี่เป็นเพียงวัฒนธรรม / ประวัติศาสตร์หรือมีเหตุผลทางเทคนิคที่จะใช้ std_logic หรือไม่
24 vhdl 

7
ฉันจะเรียนรู้ HDL ได้อย่างไร
ฉันมีหลักสูตรในการออกแบบดิจิทัลในภาคการศึกษานี้และรักมัน ตอนนี้ฉันรู้แล้วว่างานส่วนใหญ่ในระบบสมองกลฝังตัวและการออกแบบดิจิตัลนั้นทำบนเครื่องจำลองคอมพิวเตอร์ก่อนแล้วจึงนำไปใช้งานโดยใช้ฮาร์ดแวร์ ดังนั้นฉันสงสัยว่าฉันควรเรียนรู้ HDL อย่างไร ฉันมีคำถามสองสามข้อ อะไร? ฉันไม่รู้ว่ามาตรฐานคืออะไร แต่ต้องการที่จะเรียนรู้ซึ่งง่ายต่อการรับ ฉันเข้าใจว่า HDL ส่วนใหญ่ได้รับการออกแบบให้ใช้กับ FPGAs ฉันไม่ได้ทำอย่างนั้น อย่างไร? ฉันควรทำตามตำราเรียนด้วยตัวอย่างที่เป็นอิสระหรือฉันควรเริ่มดำเนินการในโครงการเช่นการนำระบบเล็ก ๆ มาใช้ (อาจเป็นสิ่งที่เหมือนกับการควบคุมสัญญาณไฟจราจร) ที่ไหน? ฉันจะรับทรัพยากรได้ที่ไหน
24 simulation  vhdl  verilog  hdl 

4
VHDL ที่สามารถสร้างความเสียหาย FPGA
ฉันอ่านที่รหัส VHDL ที่ไม่ดีสามารถนำไปสู่ความเสียหาย FPGA เป็นไปได้ไหมที่จะสร้างความเสียหายให้กับ FPGA ด้วยรหัส VHDL? เงื่อนไขแบบใดที่จะทำให้เกิดสิ่งนี้และสถานการณ์กรณีที่เลวร้ายที่สุดคืออะไร
22 fpga  vhdl 

4
VHDL IDE สำหรับสภาพแวดล้อม GNU / linux
ฉันต้องศึกษา VHDL จาก 0 และฉันต้องการตัวเลือกที่ทำงานภายใต้เคอร์เนล linux แทน NT / Windows: เคล็ดลับใด ๆ ฉันยังสามารถชื่นชมการเชื่อมโยงที่ดีไปยังแหล่งข้อมูล VHDL ที่ดีสำหรับผู้เริ่มต้นขอบคุณ
19 vhdl  ide 

1
การตรวจสอบ CPU อ่อน
ขณะนี้ฉันกำลังอยู่ระหว่างการออกแบบ CPU อย่างง่ายใน VHDL โดยใช้ Xilinx ISE และ ISIM ส่วนการออกแบบเป็นไปอย่างน่าทึ่ง แต่ฉันไม่สามารถหาวิธีการตรวจสอบในลักษณะที่สอดคล้องกัน ตอนนี้ฉันมีม้านั่งทดสอบ VHDL ที่ฉันปรับปรุงเพื่อทดสอบฟังก์ชั่นที่ฉันกำลังทำงานอยู่ในช่วงเวลาใดโดยเฉพาะ นี่เป็นแบบเฉพาะกิจและมันก็ไม่ได้ช่วยให้ฉันตรวจสอบการถดถอยและไม่สามารถใช้ตรวจสอบการปฏิบัติตามข้อกำหนด / ชุดคำสั่งได้ ฉันคิดเกี่ยวกับการพัฒนาชุดทดสอบที่กว้างขวาง แต่ปัญหาคือสถานะที่เป็นไปได้ของส่วนวัตถุประสงค์ทั่วไปเนื่องจาก CPU นั้นมีขนาดใหญ่มากเมื่อเปรียบเทียบกับส่วนประกอบทั่วไปที่น้อยกว่า ฉันกำลังมองหาวิธีที่ช่วยให้ฉันสามารถออกแบบและทดสอบในลักษณะที่ควบคุมได้มากกว่า ฮาร์ดแวร์ TDD บางประเภทถ้าคุณต้องการ สิ่งนั้นมีอยู่จริงหรือไม่? สามารถนำไปใช้กับชิ้นส่วนที่ใช้งานทั่วไปเช่น CPU ได้อย่างง่ายดายหรือไม่?
18 fpga  vhdl  cpu  test 


3
VHDL: จำนวนเต็มสำหรับการสังเคราะห์
ฉันสับสนเล็กน้อยถ้าฉันควรใช้จำนวนเต็มใน VHDL สำหรับสัญญาณสังเคราะห์และพอร์ต ฯลฯ ผมใช้ std_logic ที่ท่าเรือระดับบนสุด แต่ภายในฉันถูกใช้จำนวนเต็มตั้งแต่ทั่วทุกสถานที่ อย่างไรก็ตามฉันได้สะดุดกับการอ้างอิงไม่กี่คนที่บอกว่าคุณควรใช้ลงนาม / ไม่ได้ลงนามสำหรับรหัสที่กำหนดเป้าหมายการสังเคราะห์ ฉันได้ไปและทำใหม่โครงการปัจจุบันของฉันเพื่อใช้ไม่ได้ลงนาม ... และดีมันน่าเกลียดอย่างเห็นได้ชัด การใช้จำนวนเต็มเป็นวิธีที่ไม่ถูกต้องหรือไม่? มีปัญหาอะไร? มีความไม่แน่นอนเกี่ยวกับความกว้างของเครื่องมือที่จะจับคู่จำนวนเต็มหรือไม่
17 vhdl  synthesis 

โดยการใช้ไซต์ของเรา หมายความว่าคุณได้อ่านและทำความเข้าใจนโยบายคุกกี้และนโยบายความเป็นส่วนตัวของเราแล้ว
Licensed under cc by-sa 3.0 with attribution required.