คำถามติดแท็ก xilinx

ผู้ผลิต FPGA ยอดนิยม (Field Programmable Gate Arrays) และ CPLDs (Complex Programmable Logic Devices)

8
จะเลือก FPGA ได้อย่างไร
ล็อคแล้ว คำถามและคำตอบของคำถามนี้ถูกล็อคเนื่องจากคำถามอยู่นอกหัวข้อ แต่มีความสำคัญทางประวัติศาสตร์ ขณะนี้ไม่ยอมรับคำตอบหรือการโต้ตอบใหม่ ฉันต้องทำการประมวลผลสัญญาณดิจิตอลบน 8 สายอะนาล็อกที่ 10 kHz นี่เป็นงานที่ค่อนข้างท้าทายและฉันคิดว่า FPGA อาจเป็นแนวทางที่ถูกต้อง ฉันกำลังดูชุด dev จาก Xilinx และเนื่องจากฉันไม่มีประสบการณ์กับ FPGA ฉันจึงพบว่ามันยากที่จะรู้ว่าจะเลือกชิปที่เหมาะสมได้อย่างไร ฉันจินตนาการโดยใช้ชุด dev และขยายโดยใช้ I / O พินเพื่อเชื่อมต่อกับชิป A / D 8 ช่องและชิป D / A 8 ช่อง อะไรคือความแตกต่างระหว่างการใช้ Spartan, Virtex, Altera และอื่น ๆ ? ฉันไม่รู้ด้วยซ้ำว่าจะประเมินจำนวนประตูที่ฉันต้องการได้อย่างไร มีกฎของหัวแม่มือสำหรับสิ่งนั้นหรือไม่? ฉันจะแน่ใจได้อย่างไรว่าความเร็วสัญญาณนาฬิกาจะเพียงพอ (เป็นฟังก์ชันของจำนวนการเพิ่มและการคูณ) เป็นการยากที่จะทำการประมวลผลทศนิยมบน FPGA ฉันควรยึดคณิตศาสตร์จุดคงที่หรือไม่ …

8
โครงการเพื่อเรียนรู้ VHDL
ฉันเป็นนักเรียน EE และสามารถเขียนโปรแกรม [อย่างน้อยง่าย ๆ ] ในภาษาที่มากกว่าที่ฉันมี ฉันเพิ่งเริ่มเรียนรู้ VHDL และฉันก็สงสัยว่าโครงการที่ดีจะต้องรู้จักภาษาและเครื่องมือที่เกี่ยวข้องเป็นอย่างไร ฉันมีปัญหาในการเข้าใช้งานเพราะมันเป็นรูปแบบการเขียนโปรแกรมที่แตกต่างกันมากสำหรับฉัน ฉันได้ทำสิ่งที่เรียบง่ายเช่นตัวเสริม แต่ฉันกำลังมองหาโครงการระยะยาว (เช่นหนึ่งเดือนหรือมากกว่านั้น) ในกรณีที่มีความเกี่ยวข้องฉันมี Xilinx Webpack และกระดาน Digilent Spartan3
16 fpga  xilinx  vhdl 

4
รายการของส่วนต่อท้ายของไฟล์ Xilinx (สำหรับ ISE)
ฉันขอ Xilinx สำหรับรายการดังกล่าว แต่พวกเขาไม่มีรายการที่สมบูรณ์ ฉันต้องการตรวจสอบให้แน่ใจว่าไฟล์อินพุตทั้งหมดอยู่ในการควบคุมแหล่งที่มาและไฟล์เอาต์พุตทั้งหมดไม่ได้ นี่คือด้วย 13.1-13.2 กับ ISE และ PlanAhead บางส่วนของข้อมูลที่พวกเขาได้ให้เป็นรายการของ PAR ไฟล์ Output และ ISE ออกแบบ Suite แฟ้มในบรรทัดคำสั่งเครื่องมือผู้ใช้คู่มือที่มายื่นรายชื่อจากที่นี่ แก้ไข 19 ส.ค. 2011: กล่าวถึง 13.2 และ PlanAhead แก้ไข 7 ก.ย. 2554: ลบการอ้างอิง EDK เนื่องจากบางคำตอบ
15 xilinx  ise  planahead 

4
BJT ทรานซิสเตอร์ทำงานอย่างไรในสภาวะอิ่มตัว?
นี่คือสิ่งที่ฉันรู้เกี่ยวกับ NPN BJTs (ทรานซิสเตอร์แบบสองขั้วทางแยก): ปัจจุบัน Base-Emitter ขยาย HFE ครั้งที่ Collector-Emitter ดังนั้น Ice = Ibe * HFE Vbeคือแรงดันไฟฟ้าระหว่าง Base-Emitter และเช่นเดียวกับ diode ใด ๆ มักจะอยู่ที่ประมาณ 0,65V Vecแม้ว่าฉันจะจำไม่ได้ ถ้าVbeต่ำกว่าเกณฑ์ขั้นต่ำสุดทรานซิสเตอร์จะเปิดขึ้นและไม่มีกระแสไฟผ่านหน้าสัมผัสใด ๆ (โอเคอาจจะมีกระแสรั่วไหลเล็กน้อย แต่ก็ไม่เกี่ยวข้องกัน) แต่ฉันยังมีคำถาม: ทรานซิสเตอร์ทำงานอย่างไรเมื่ออิ่มตัว ? เป็นไปได้หรือไม่ที่จะให้ทรานซิสเตอร์อยู่ในสถานะเปิดภายใต้เงื่อนไขอื่นที่ไม่ใช่Vbeต่ำกว่าขีด จำกัด ? นอกจากนี้อย่าลังเลที่จะชี้ให้เห็นข้อผิดพลาดใด ๆ ที่ฉันทำในคำถามนี้ คำถามที่เกี่ยวข้อง: ฉันไม่สนใจว่าทรานซิสเตอร์ทำงานอย่างไรฉันจะทำให้ทรานซิสเตอร์ทำงานได้อย่างไร

1
ฉันสามารถใช้พิน I / O ที่ต่างกันของ FPGA เป็นตัวเปรียบเทียบความเร็วสูงได้หรือไม่
ตัวเปรียบเทียบความเร็วสูงค่อนข้างแพงและความเร็วเป็นสิ่งที่ FPGA นั้นดีมาก ในทางตรงกันข้าม FPGAs (ในกรณีของฉัน: XC3S400) ได้จับคู่ค่าเฟืองในแต่ละธนาคารที่เปรียบเทียบแรงดันไฟฟ้าของพวกเขา (อย่างน้อยฉันก็คิดเช่นนั้น!) พวกเขายังมีVrefสำหรับมาตรฐานสิ้นสุดเดียวที่อาจทำหน้าที่เป็นตัวเปรียบเทียบ ฉันต้องการทราบว่าฉันสามารถใช้พินคู่ I / O ต่างกันเป็นตัวเปรียบเทียบหรือไม่ถ้าฉันควรทำเช่นนั้น (ฉันควรเชื่อมต่อ vref และใช้มาตรฐานสิ้นสุดเดี่ยวหรือเพียงแค่เชื่อมต่อแรงดันไฟฟ้าสองตัวกับดิฟเฟอเรนเชียล I / O ?) รุ่น:ฉันลองและใช้งานได้ดี !!!

4
ใช้ SVN กับ Xilinx Vivado หรือไม่
ฉันเพิ่งใช้ Vivado ในโครงการใหม่และต้องการวางไฟล์โครงการภายใต้ SVN Vivado ดูเหมือนว่าจะสร้างไฟล์โครงการทั้งหมดภายใต้ชื่อโครงการ (พูด proj1): /<path to the project>/proj1/ proj1.xpr proj1.srcs/ constrs_1/ new/ const1.xdc proj1.runs/ proj1.data/ proj1.cache/ คำถามของฉันคือไฟล์ที่ฉันต้องใส่ใน SVN นอกเหนือจากไฟล์ XDC และไฟล์ XPR คืออะไร?
13 fpga  xilinx 

4
การออกแบบเฟิร์มแวร์ FPGA: ใหญ่เกินไปเท่าใด
ฉันมีการแปลงสัญญาณขนาดใหญ่โดยเฉพาะอย่างยิ่งที่ต้องทำการแปลงจาก matlab เป็น VHDL ต้องมีการแบ่งปันทรัพยากรบางอย่างแน่นอน การคำนวณเล็กน้อยให้สิ่งต่อไปนี้กับฉัน: 512 ffts จาก 64 จุด การดำเนินงานเพิ่มทวีคูณ 41210 เมื่อพิจารณา Virtex 6 FPGA ที่ใหญ่ที่สุดมีบล็อก DSP48E ประมาณ 2000 ฉันรู้ว่าฉันสามารถแบ่งปันทรัพยากรเพื่อใช้ทรัพยากรซ้ำได้หลายครั้ง เวลาดำเนินการไม่ใช่ปัญหาจริงๆเวลาประมวลผลอาจใช้เวลานานในแง่ของ FPGA ดูที่การใช้ทรัพยากรการใช้สถาปัตยกรรม radix-2 lite ทำให้ฉันได้รับบล็อก 4dsp / การดำเนินการ FFT = 2048 บล็อก DSP รวม ~ 43k Virtex FPGA ที่ใหญ่ที่สุดมี 2k block หรือ 20 การทำงาน / mux เห็นได้ชัดว่าการรวม …
13 fpga  vhdl  xilinx 

3
วิธีการระบุพื้นที่ของการออกแบบ FPGA ที่ใช้ทรัพยากรและพื้นที่มากที่สุด
ฉันทำงานกับการออกแบบ FPGA ขนาดใหญ่และฉันอยู่ใกล้กับขีด จำกัด ทรัพยากรของ FPGA ที่ฉันใช้อยู่ในปัจจุบัน Xilinx LX16 ในแพ็คเกจ CSG225 การออกแบบก็เกือบจะเสร็จสมบูรณ์แล้วอย่างไรก็ตามในขณะนี้จะไม่เหมาะกับ FPGA อีกต่อไป ฉันสามารถปิดส่วนต่าง ๆ เพื่อให้มันเข้ากันได้ แต่ฉันต้องลดการใช้ทรัพยากรเพื่อที่จะทำให้การออกแบบเสร็จสมบูรณ์และมีความต้องการด้านเวลาและขนาด ฉันต้องการทราบว่ามีเครื่องมือใดรายงานของเราที่สามารถช่วยฉันระบุว่าส่วนใดของการออกแบบของฉันใช้ทรัพยากรมากที่สุด การออกแบบของฉันไม่ได้ถูกแบ่งพาร์ติชันและแยกเป็นโมดูล VHDL มากกว่าหนึ่งโหลขึ้นไป รายงานจังหวะเวลาของ Xilinx นั้นยอดเยี่ยม แต่ตอนนี้ฉันต้องรู้ว่าฉันจะหาเงินได้ดีที่สุดในแง่ของการประหยัดพื้นที่ ฉันยังมีเวลายากที่จะบอกว่าชนิดของทรัพยากรที่ฉันหมดหรือสิ่งที่มีผลต่อทรัพยากรเหล่านั้น สิ่งที่น่ารำคาญอีกอย่างก็คือเมื่อการออกแบบมีขนาดใหญ่ขึ้นส่วนประกอบที่ใช้ในการกำหนดเวลาเริ่มล้มเหลวเนื่องจากการวางไม่เหมาะสม ปัจจุบันฉันใช้รายงานช่วงเวลา Post-Place และ Route Static และฉันใช้ SmartXplorer ฉันใช้กลยุทธ์การออกแบบเพื่อปรับให้เหมาะกับเวลา หลังจากปิดส่วนหนึ่งของการออกแบบของฉันเพื่อให้พอดีกับผลลัพธ์ต่อไปนี้คือบางส่วน: การใช้การลงทะเบียน slice: 42% การใช้ LUT จำนวน 96% ของคู่ LUT-FF ที่ใช้งานอย่างเต็มรูปแบบ: 38% นี่หมายความว่าฉันเบาในการลงทะเบียน มีเครื่องมือที่ช่วยให้นักพัฒนาเพิ่มประสิทธิภาพสำหรับพื้นที่หรืออย่างน้อยก็ให้ข้อมูลเชิงลึกเพิ่มเติมเกี่ยวกับรหัสของพวกเขา? …

3
FPGA ขั้นตอนแรก
อย่างนี้เป็นความต่อเนื่องของคำถามของฉันบน FPGA กว่าที่นี่ ในที่สุดฉันก็เลือกDigilent Atlysกับ Spartan 6 FPGA ฉันไม่เคยมีประสบการณ์เกี่ยวกับ FPGA มาก่อนถึงแม้ว่าฉันจะทำงานกับไมโครคอนโทรลเลอร์จำนวนหนึ่ง ฉันใช้เวลาสองสามวันในการอ่านแผ่นข้อมูลของ FPGA และฉันคิดว่ามันจะเป็นทางเลือกที่ดีในการเริ่มต้นกับ Verilog ฉันไม่สามารถหาตัวอย่างรหัสใด ๆ และแม้กระทั่งแผ่นข้อมูลที่ไม่เป็นมือใหม่ ฉันต้องการทำบางอย่างของการเขียนโปรแกรมการจำลองการสังเคราะห์และนี่คือสิ่งที่ฉันต้องการจะทำ สร้างความถี่คี่พูด 54Mhz จาก FPGA (มันทำงานบนนาฬิกา 100Mhz) และกำหนดเส้นทางไปยังหนึ่งในหมุด ฉันอาจจะต้องใช้ DCM หรือ PLL สำหรับเรื่องนี้ แต่ไม่รู้จะเริ่มต้นอย่างไรที่นี่? ติดตั้ง I2C อ่านเขียนจาก FPGA สิ่งที่ฉันกำลังมองหาคือการอ้างอิงอาจเป็นหนังสือออนไลน์หรือหนังสือที่ให้รหัสตัวอย่างและคำอธิบายของส่วนประกอบฮาร์ดแวร์แต่ละรายการที่มีอยู่ใน FPGA เช่น DCM ชิ้นส่วนของ clb เป็นต้น ฉันเดาว่าควรเริ่มต้นให้ฉันเข้าสู่โลกของ FPGA
11 fpga  xilinx  spartan 

4
FPGA: นับถอยหลังหรือนับถอยหลัง?
ฉันเรียนรู้ที่จะใช้ FPGA (คณะกรรมการพัฒนา Papilio ซึ่งมี xilinx spartan3e โดยใช้ vhdl) ฉันต้องแบ่งพัลส์ที่เข้ามาด้วยหมายเลข (รหัสยาก) ฉันสามารถเห็น 3 ตัวเลือก - คร่าวๆเป็น pseudocode (ใช้ 10 นับเป็นตัวอย่าง): เริ่มต้นเป็น 0 เมื่อการเพิ่มขึ้นของขอบการป้อนข้อมูลเพิ่มขึ้น 1 เปรียบเทียบกับ 10 ถ้ามันเท่ากันให้รีเซ็ตเป็น 0 และทริกเกอร์เอาท์พุทพัลส์ เริ่มต้นที่ 10 เมื่ออินพุทขอบเพิ่มขึ้นลดลง 1 เปรียบเทียบกับ 0; หากเท่ากันให้รีเซ็ตเป็น 10 และทริกเกอร์เอาท์พุทพัลส์ เริ่มต้นที่ 9 แต่ให้แน่ใจว่ามีบิตนำหน้า "0" อย่างน้อย 1 ซึ่งเป็นบิตเอาต์พุตของฉัน บนอินพุตที่เพิ่มขึ้นขอบลดลง 1 บนขอบที่เพิ่มขึ้นของบิตเอาท์พุทรีเซ็ต รอบการปฏิบัติหน้าที่ไม่สำคัญ เป็นหนึ่งในสิ่งเหล่านี้ดีกว่าคนอื่น …
11 fpga  vhdl  xilinx  papilio 

2
“ ครึ่งสลัก” ใน FPGA คืออะไร
ในบทความเกี่ยวกับ FPGA ที่มีการฉายรังสีอย่างหนักฉันเจอประโยคนี้: "ข้อกังวลอีกประการเกี่ยวกับอุปกรณ์ Virtex คือครึ่งสลักบางครั้งใช้สลักครึ่งในอุปกรณ์เหล่านี้สำหรับค่าคงที่ภายในเนื่องจากมีประสิทธิภาพมากกว่าการใช้ตรรกะ" ฉันไม่เคยได้ยินเกี่ยวกับอุปกรณ์ดั้งเดิมของ FPGA ที่เรียกว่า "สลักครึ่ง" เท่าที่ฉันเข้าใจดูเหมือนว่ากลไก "แหล่งที่มา" ค่าคงที่ '0' หรือ '1' ในเครื่องมือแบ็กเอนด์ ... ทุกคนสามารถอธิบายสิ่งที่ "ครึ่งสลัก" โดยเฉพาะอย่างยิ่งในบริบทของ FPGAs และพวกเขาสามารถใช้เพื่อบันทึกตรรกะได้อย่างไร แก้ไข: กระดาษที่ฉันพบนี้คือการเปรียบเทียบของ FPGAs การแผ่รังสีอย่างหนักและการแผ่รังสีที่ทนต่อรังสีสำหรับการใช้งานในอวกาศ
10 fpga  vhdl  xilinx  radiation 

1
FPGA ของฉันไม่มีทรัพยากรการกำหนดเส้นทางหรือไม่
ฉันมีการออกแบบ Serial-ATA Controller ที่ทำงานบนอุปกรณ์ Xilinx 7-series เกือบทุกชนิดยกเว้นอุปกรณ์ Artix-7 ซึ่งทำให้ฉันปวดหัว ... การออกแบบที่บริสุทธิ์ (SATA 6.0Gb / s, นาฬิกาออกแบบ 150 MHz) สามารถนำมาใช้กับ Artix-7 200T ของฉัน ถ้าฉันเพิ่ม ILA cores (เดิมชื่อ ChipScope) เวลาจะไม่เป็นไปตาม ฉันทำสิ่งที่ผ่อนคลายสถานการณ์: - เพิ่ม 2 ขั้นตอนไปป์ไลน์ในแต่ละแกน ILA - เพิ่ม 1 ขั้นตอนไปป์ไลน์ระหว่างตัวรับส่งสัญญาณ GTP และตรรกะ - ใช้ retiming, remap และการวางตำแหน่งกว้างเป็นกลยุทธ์การดำเนินงานทางเลือก ภาพนี้แสดงขั้นตอนการออกแบบปกติ แกน ILA นั้นอยู่ไกลจาก SATAController …

1
การจำลองม้านั่งทดสอบอย่างง่ายด้วยแกน ROM สังเคราะห์
ฉันใหม่กับโลกของ FPGA อย่างสมบูรณ์และคิดว่าฉันจะเริ่มต้นด้วยโครงการที่ง่ายมาก: ตัวถอดรหัส 7 บิตแบบ 4 บิต รุ่นแรกที่ฉันเขียนอย่างหมดจดใน VHDL (โดยทั่วไปเป็น combinatorial เดียวselectไม่จำเป็นต้องใช้นาฬิกา) และดูเหมือนว่าจะทำงานได้ แต่ฉันก็อยากจะทดลองกับ "IP Cores" ใน Xilinx ISE ดังนั้นตอนนี้ฉันใช้ GUI "ISE Project Explorer" และฉันสร้างโครงการใหม่ด้วย ROM core รหัส VHDL ที่สร้างขึ้นคือ: LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY SSROM IS PORT ( clka : …
โดยการใช้ไซต์ของเรา หมายความว่าคุณได้อ่านและทำความเข้าใจนโยบายคุกกี้และนโยบายความเป็นส่วนตัวของเราแล้ว
Licensed under cc by-sa 3.0 with attribution required.